Table of contents

Volume 6

Number 9, September 1991

Previous issue Next issue

PAPERS

837

, , , , and

The authors have observed both emission and absorption of phonons by the two-dimensional electron gas in a GaAs modulation-doped field effect transistor (MODFET). For the first time in this system directly emitted LA phonons were seen, in addition to the TA products of LO down-conversion. The angular dependence of the LA feature suggested that its origin was piezoelectric not deformation potential coupling. Direct absorption of phonons by the 2DEG was found to have a response time approximately ns, so that the transmission stop bands of a superlattice phonon fitter could be used as a dispersive spectrometer.

841

, and

Rates for hole-phonon scattering in a GaAs/AlAs quantum well are calculated using an eight-band k.p method. The method includes the effects of heavy hole-light hole mixing on the scattering metrix elements, subband energy dispersions and densities of states. The scattering rates exhibit distinct structure; arising on the one hand, from the strong k/sub /// dependence of the matrix elements (due to band mixing), and on the other, from large peaks in the densities of states at subband energy minima which are displaced from the zone centre. The rates for intrasubband scattering by both optical and acoustic phonons are larger than the principal intraband rates for holes in bulk GaAs. However the rates for intersubband scattering are reduced by band mixing effects, and are all considerably smaller than the principal bulk interband rate. The scattering rates are used as a database for Monte Carlo simulations of steady state hole transport in the GaAs/AlAs quantum well. The low field 2D hole mobility at 77 K is estimated to be some 30% lower than the phonon-limited bulk mobility, and this is attributed to the stronger acoustic phonon scattering in the quantum well. At higher fields, strong intrasubband polar optical scattering is evident, giving rise to an anomalous repopulation of the highest valence subband.

850

, , , , , and

The epitaxial realignment induced by high temperature rapid thermal annealing of ion implanted polycrystalline and amorphous layers deposited on to <100> oriented Si substrates has been studied. The As doses ranged from 2*1015 to 2*1016 cm-2 and the annealing temperature from 1000 to 1150 degrees C for annealing times ranging from 5 to 100 s. The characterization of the processed layers has been performed by means of Rutherford backscattering and channeling spectroscopy, sheet resistance measurements and transmission electron microscopy. The authors show that in the temperature and time ranges used here, an As concentration larger than 1*1020 cm-3 is necessary to induce the epitaxial realignment of the polycrystalline layer. However, for the amorphous layers a higher As concentration is needed. The difference is related to the way in which the As atoms redistribute in the two layers. It is found that the heavily doped amorphous layers can be realigned with limited As redistribution, thus giving them the potential of being used in the microelectronics technology for the formation of shallow junctions.

857

and

On the basis of the two-zone hybrid model of doped semiconductors and the Kubo formula, the authors have calculated electrical conductivity of Si:P for various impurity concentrations and temperatures. As a basis for conductivity calculations, one-particle Green functions calculated by the modified Matsubara-Toyozawa method are used. Results obtained are compared with the experimental values. Although the agreement is not yet quite satisfactory, significant improvement is evident in comparison with results obtained by other authors using similar methods.

862

, , and

A Monte Carlo method has been developed for the study of electron transport properties (drift velocity, average energy, electron ionization coefficient, etc.) in GaAs for high fields (100-600 kV cm-1), using a five-valley conduction band model. To the three valleys traditionally used ( Gamma 6, L6, X6) two others have been added (X7, Gamma 7) that belong to conduction subbands above the first one. The model is shown to be also valid for the study of transport when a low electric field is applied (0-100 kV cm-1). The results highlight the importance of the X7 valley of the second conduction subband in high field transport phenomena, and are compared with different experimental values.

872

and

DC conductivity measurements have been made in the Ge1-xSe2Sbx system for different values of x(=0, 0.011, 0.014, 0.02) as a function of temperature and electric field. It is observed that the DC conductivity in the limited range of temperature can be treated as an activated process. DC conductivity activation energy is found to increase with the increase of temperature. DC conductivity is found to increase with the increase in the content of antimony in the basic system. Conductivity activation energy is found to decrease with increasing electric field. The results have been explained on the basis of a two-channel model.

875

The surface photovoltage response time in large gap semiconductors is analysed in the case of the photoexcitation of the bulk impurity levels. The calculations are performed to illustrate that the time evolution of the surface photovoltage is controlled by the concentration of levels, the photoionization cross sections and the illumination intensity. Attempts were made to correlate the theory and experiment.

881

, and

The electrical behaviour of epitaxial Al:GaSb Schottky diodes is modelled to highlight the importance of the effects of two-band electron conduction and recombination in the depletion region when deriving barrier heights from non-ideal I-V characteristics. The analysis reveals a Schottky barrier height to electrons in the Gamma conduction band minimum in the range 0.56 to 0.57 eV for n-type GaSb.

886

, and

AlGaAs/GaAs heterojunction resonant tunnelling bipolar transistors, with superlattice base, have been fabricated. For the single heterojunction structure an S-shaped NDR behaviour can be obtained when it is forward biased, due to the impact ionization enhancement in the AlGaAs/GaAs heterojunction. While it is reverse biased an N-shaped NDR behaviour comes after an insignificant S-shaped behaviour. It is believed to be the initiation of a resonant tunnelling process across the superlattice region. As for the double heterojunction structure, the I-V characteristic shows a bi-directional S-shaped switching behaviour. The different performance between the two structures can be modelled as the effect of the band offset of the heterojunction.

890

, , , and

The production of isolated device islands by high dose oxygen implantation into silicon followed by high temperature annealing has been termed total dielectric isolation (TDI). Higher packing densities, higher frequency performance and radiation hardness are the three potential advantages of fabricating very large scale integrated circuits by this method. Because of the microscopic size of the silicon islands, they cannot be analysed using conventional ion-channelling apparatus. However, some nuclear microprobes are now available which produce small diameter, low convergence MeV ion beams suitable for microscopic ion-channelling analysis. Thus, a technique which has proved very useful in analysing the near surface region in bulk semiconductor samples can now be applied to semiconductor device structures. The authors report on a preliminary study of TDI structures using the Surrey scanning microprobe. Measurements of crystalline quality, silicon thickness and buried oxide thickness were obtained from isolated islands. Secondary electron and optical microscopy were used to assess beam damage, and the feasibility of nuclear microprobe analysis of TDI structures is discussed.

896

, and

A semi-empirical analytical expression for the shallow-level impact ionization rate coefficient Aii and the thermal capture rate coefficient BT is derived, which gives a good qualitative agreement with the reported data and can be used for engineering purposes, in particular for the simulation of the operation of Si devices at liquid helium temperatures. In the calculations, the hot carrier distribution is approximated by a Maxwellian distribution with an effective carrier temperature Te. The results obtained in this way are used to simulate the forced depletion layer formation (FDLF) in a silicon resistor at liquid helium temperatures. As will be shown, a space charge is injected with a time constant which is maximum at the edge of the depletion region and corresponds with the minimum in Aii+BT. These results will be applied to the transient behaviour of a metal-oxide-semiconductor transistor (MOST) in part II.

905

, , and

For pt.I see ibid., vol.6, no.9, p.896-904 (1991). The model presented previously is applied to the case of a Si metal-oxide-semiconductor transistor. As will be shown, the drain current transients typically observed in the kink region at liquid helium temperatures can be explained by the forced depletion layer formation mechanism, i.e. by considering the substrate current induced build-up of the depletion charge at the drain, through shallow impact ionization and capture. Both the transient and hysteresis behaviour are described accurately by the time constant defined earlier in the region of non-zero substrate current.

912

, , , , and

A methane/hydrogen (CH4H2) plasma has been used for the gate recessing of E/D MESFETs fabricated on a highly uniform active layer formed on a semi-insulating LEC grown GaAs substrate by Si ion-implantation through an excellent plasma enhanced chemical vapour deposited silicon nitride layer and rapid thermal annealing with the same layer as cap. Threshold voltage standard deviation ( sigma Vth) as low as 16 and 31 mV, over a full 5 cm wafer, has been achieved for respectively E and D MESFETs with a gate length of 1.5 mu m.

916

, , , , , and

Thermal dependent Hall effect and time-resolved band edge photoluminescence on rare earth (Yb) doped indium phosphide are reported. The presence of two different traps related to the rare earth clearly demonstrated A very efficient trapping is observed in time resolved photoluminescence. The variations of the band edge luminescence decay time with temperature and excitation density lead the authors to consider the trapping of both electrons and holes on the rare earth site. They propose a model based on the creation of bound exciton trapped on the rare earth site which allows them to account for both the electrical and optical properties of rare earth in III-V compounds. The reasonable quantitative agreement between this model, time-resolved and cw photoluminescence data is shown and discussed.

924

, , and

Optical pumping of electrons in the non-magnetic alloys Hg0.31Cd0.69Te and Hg0.39Cd0.61Te at 4.2 K was studied by circularly polarized excitation with photon energy somewhat higher than the energy gap and compared with optical pumping efficiency for the semi-magnetic alloy Hg0.77Mn0.23Te with intermediate values of the band parameter. Spin relaxation times were estimated for semi-magnetic and non-magnetic alloys. The experimental values were compared with the theory of spin relation of electrons in semiconductors. The mechanism of spin relaxation in non-magnetic alloys with 0.61<x<0.69 at 4.2 K was shown to be the Bir-Aronov-Pikus electron-hole exchange interaction, while the electron spin relaxation in the semi-magnetic alloy goes through the electron-Mn2+ exchange interaction. Finally, the authors apply the optical pumping technique to evaluate some parameters of electron recombination through the deep impurity centres.

929

, , , and

The authors report the first demonstration of featureless surface morphology on InP plasma etched in CH4/H2/Ar electron cyclotron resonance (ECR) discharges. At a plasma composition of 5 CH4/17 H2/8 Ar it is necessary to limit the microwave input power to <or=150 W in the reactor order to achieve smooth etching. At microwave powers above this value, the authors observe increasingly rough surfaces. This is ascribed to faster removal of phosphorus than indium because of an imbalance of active hydrogen (removing P as PH3) to methyl radicals (which are the active species in forming the In etch product). The addition of Ar to the discharge enhances the etch rate by a factor >or=3 compared with CH4/H2 alone, indicating that some additional degree of ion bombardment is necessary with this gas chemistry even under ECR conditions. Degradation of the InP photoluminescence as a result of plasma etching at bias voltages less than 150 V can be completely quenched by the addition of PCl3 to the discharge. This provides a phosphorus partial pressure during the etch, maintaining the stoichiometry of the InP surface.

934

, and

Electron beam (EB)-induced HCl pattern etching of GaAs is achieved without any masks on the surface. This pattern etching is performed under the irradiation of both EB with small spot size and HCl gas which is supplied from a small nozzle located above the water surface. This pattern etching is based on two points: the etch rate of GaAs by HCl exposure is negligibly small below about 250 degrees C, and the etch rate is enhanced by the simultaneous irradiation of EB. The etch rate increases with decreasing wafer temperature in a range from 70 to 150 degrees C. This phenomenon is considered to be due to the change of the sticking coefficient of the HCl molecule on GaAs surface.

LETTERS TO THE EDITOR

937

, and

The carrier trapping properties in MOS transistors fabricated on silicon on insulator substrates synthesized by deep oxygen implantation (SIMOX) are investigated by photo-induced current transient spectroscopy. The adaptation of the PICTS method to depletion-mode MOS transistors is described. The upper and lower half band gap are probed by using N-channel and P-channel transistors integrated on the same chip. The density of states at the buried Si-SiO2 interface is in the region of 1012 cm-2 eV-1. A localized level of electron traps, presumably associated with dislocations, is detected at 0.29 eV below the conduction band.

940

, and

A rapid thermal annealing technique has been reported to prepare CuInSe2 films from Cu-In/Se stacked layers. A non-aqueous bath has been used to grow the Cu-In alloy films. Steady state polarization characteristics of the alloy plating bath are also discussed. From the XRD and SEM results, it is shown that the CuInSe2 films are polycrystalline and have a preferred (112) orientation of the chalcopyrite phase.

942

, and

The etching of InP semiconductor stimulated by HeNe laser radiation was studied in both oxidizing and reducing environments. The etching proceeded via photochemical processes. It was proved that the etching rate is affected by the etchant concentration and by the laser light density. The effect of dopant on the etched depth was related to position of the dopant atom in the crystallographic lattice of the semiconductor.

945

, and

A study of CH4:H2:Ar microwave ECR-plasma etching of GaAs is presented. GaAs etch rates are measured as a function of the constituent gas flow rates, applied RF and microwave powers, substrate temperature and magnetic-table separation. The results indicate that for CH4:H2:Ar ECR etching of GaAs, etch rates of 25 nm min-1 can be achieved. The electrical 'damage' in a GaAs/AlGaAs HEMT Hall bar structure was investigated by etching off the GaAs capping layer. Results indicate that ECR-plasma etching with an additional RF-table bias in the range of -40 to 0 V does not significantly increase the source-drain resistances, measured at 300 K, to that of a wet etched sample. Some degradation in n and mu was found at 1.2 K.

948

and

Electron cyclotron resonance (ECR) microwave plasma etching of In0.2Ga0.8As-GaAs strained multi-quantum well structures in PCl3Ar discharges is reported. The use of low DC self-biases (<or=80 V) during the dry etching enables the fabrication of 2 mu m wide self-aligned ridge waveguide lasers with typical external quantum efficiencies of 60-70% and low as-cleaved threshold currents (usually <or=5 mA) without the need for subsequent wet-chemical removal of the ion bombardment induced damage usually present with more conventional reactive ion etching techniques. In addition, the AuBe-based p-contact of the laser is used as the dry-etch mask and the metal is not degraded by the low-energy ions from the ECR discharge. For higher DC self-biases (>or=150 V) erosion of the metal mask and trenching at the base of the sidewall are evident.