Brought to you by:

Table of contents

Volume 1994

Number T54, January 1994

Previous issue Next issue

PROCEEDINGS OF THE 16TH NORDIC SEMICONDUCTOR MEETING 12–15 March 1994, Laugarvatn, Iceland

PREFACE

7

and

Some 30 years ago an informal meeting of the few Nordic specialists in semiconductor physics marked the beginning of what has become a biannual meeting of some hundred physicists and physics students from all the Nordic countries. The 16th Nordic Semiconductor Meeting took place at Laugarvatn, Iceland, June 12–15,1994.

As a regional meeting the Nordic Semiconductor meeting has three characteristic features all of which distinguish it from more traditional international meetings in the field. First, it has the purpose of promoting Nordic cooperation in the international field of semiconductor physics. Research in the fields of advanced science and technology in the Nordic countries is likely to benefit from joining national forces before participating in the increasing European integration. Second, there is an unusually large fraction of graduate students amongst the participants of the Nordic Semiconductor Meeting. In fact, attending this conference is traditionally a part of the graduate program in seniconductor physics and technology. The Nordic Semiconductor Meeting is often the first conference of international character that graduate students attend in order to present a paper of poster. Third, there is an interdisciplinary quality of the meeting which is normally not the case for meetings of this size. In particular, the number of professional scientists from industry is comparable to the number of their academic colleagues. This is important for both groups, but perhaps the graduate students benefit most from presenting their results to both groups.

The 16th Nordic Semiconductor Meeting, the first one in this series held in Iceland, attracted 129 active participants. The scientific programme was divided in twelve oral sessions. A novelty of this meeting was the emphasis on more fundamental physics in one of the two parallel sessions but more applied topics in the other, although the distinction was sometimes a matter of predilection. A poster session including both basic and applied physics was also organized. Most of the oral sessions included an invited lecture. The invited speakers were all of high international class, five of them working in the Nordic countries, Sami Franssila, Finland, Jostein Grepstad, Norway, Jam Hvam, Denmark, Erik Janzén and Lars Samuelson, Sweden. The other five represented a wider geographical spread, Klaus von Klitzing and Detlef Heitmann, Germany, Gordon Davies, United Kingdom, Markus Büttiker and Chris Palmstrøm, U.S.A. Attendees from China, Japan, Switzerland, the Netherlands and Lithuania also participated in the conference. In addition to the invited lectures some 100 oral papers and 25 posters were contributed.

Another novelty of the conference is the fact that the proceedings of the conference are being published in a refereed journal. These proceedings contain all the invited and contributed papers the authors of which complied with the deadline of submission of the manuscripts. The editors paid special attention to prompt publication of the proceedings in order to promote the actuality of the results presented at the conference. Therefore, the deadline was strict, all of the papers were refereed during the conference. Changes suggested by the referees were either made at Laugarvatn or within three weeks from the conference. We are grateful to the international crowd of session chairmen who assumed the task of refereeing the papers, either themselves or with the help of colleagues. Without their impressive qualifications this procedure would not have been as reliable as the quality of the papers deserved. We also want to thank the editorial staff of Physica Scripta for their help and cooperation.

It is our hope that the 16th Nordic Semiconductor Meeting succeeded in keeping the tradition of a popular conference series at the same time as mowing slightly the emphasis which may strengthen future meetings. Time will tell. In the meantime, we thank all the participants for their contributions. We are grateful to the sponsors listed below. Their support made the conference possible. Last, but not least, we acknowledge the work of Gerlinde Xander and all the students and co-workers who attended to countless details.

Reykjavík 18.7. 1994

DEFECTS

7

When an exciton is bound on an isoelectronic centre, one particle (electron or hole) may be severely localised on the centre. In this paper attention is drawn to the importance of the lattice relaxations stimulated by this localised charge density. Two examples are discussed in detail for centres where the exciton binding is produced primarily by the relaxation. It is shown that Jahn-Teller theory applied to the tightly bound particle allows a very precise understanding of the effects of external perturbations on the bound exciton.

12

, and

We demonstrate that lithium diffusion into gold doped n-type silicon at temperatures between 200 and 300°C results in the formation of two lithium-gold complexes. This was investigated using deep level transient spectroscopy (DLTS) combined with secondary ion mass spectroscopy (SIMS) and capacitance voltage (CV) profiling. One of the Au-Li complexes is electrically inactive and is observed indirectly as gold passivation. Virtually all passivated gold acceptors are reactivated after annealing for 30 minutes at 400°C and this process can be reversed by additional heat treatment at lower temperatures, as long as enough Li is still present in the crystal. This reaction can be described by a mass action law between negatively charged gold atoms and positively charged lithium (Au + Li+) with a free binding energy of approximately 0.87eV. The other Au-Li complex has a deep level, labelled L1, within the silicon band gap with an activation energy of 0.41eV. The L1 signal is strongest after annealing at temperatures between 250 and 300°C, but the passivating complex appears to be favoured at lower temperatures. From the dissociation kinetics of L1 during reverse bias annealing we find that the complex consists of one gold atom and one or more lithium atoms.

16

, , and

Conversion Electron Mössbauer Spectroscopy has been applied to the study of different novel expitaxially stabilized phases of the Fe-Si system and also of Fe3Si films. The silicides have been grown by Molecular Beam Epitaxy on Si(111). The 57Fe Mössbauer parameters (isomer shift δ, linewidth Γ, quadrupole splitting Δ and magnetic field H at the nucleus) are reported and discussed in terms of the local surrounding of the Fe nucleus.

20

, , , , , and

In this paper, we report optical studies of nitrogen doped ZnSe epilayers grown by molecular beam epitaxy. Photoluminescence spectra of the donor-acceptor pair region at different temperatures and different carrier concentrations show that two donors are present in the samples, residual shallow donors with activation energy 26 meV and deep donors with activation energy of 46 meV previously assigned to a VSe-Zn-NSe complex. In the exciton region we observe a new emission at 2.765 eV and the intensity increases when the epilayer is compensated by the deep donor. We therefore propose that this transition is related to a deep donor bound exciton. Excitation power dependent photoluminescence measurements show the presence of two deep transitions separated by 23 meV at low excitation intensities which we propose are due to deep donor-acceptor pairs.

24

, , and

The luminescence intensity of Pr3+ varies dramatically with the Al mole fraction in Pr-implanted AlxGa1-xAs. Two groups of major luminescence peaks have been observed near 1.6 and 1.3 μm, which can be attributed to the transitions of 3F33H4 and 1G43H5 of Pr3+, respectively. For GaAs, the luminescence peak intensity near 1.3 μm is strong and the peak intensity near 1.6 μm is weak, whereas the opposite has generally been observed for AlxGa1-xAs. Furthermore, only Al0.15Ga0.85As shows very strong luminescence peaks near 1.6 μm. This may be explained with a proposed excitation model for the 4f-electron of Pr3+ in AlxGa1-xAs.

28

, and

Similar to hydrogen the group-I element lithium passivates various shallow and deep levels in GaAs. In p-type GaAs lithium passivates the shallow acceptors ZnGa and CdGa and the deep acceptor CuGa. In n-type GaAs it passivates native deep donors such as EL2 and EL6. However, in contrast to hydrogen there is no evidence of the passivation of shallow donors by lithium in GaAs. The passivation of shallow acceptors in p-type GaAs is inferred from a simultaneous increase of the Hall hole mobility and decrease in free carrier concentration throughout the bulk of Li-diffused samples. The acceptors can be reactivated by thermal annealing. We attribute the passivation to the formation of neutral Li-Zn and Li-Cd complexes. The passivation of CuGa is concluded from the disappearance of deep level transient spectroscopy (DLTS) signals due to the CuGa acceptor levels at Ev+0.15 and Ev+0.40eV when the material is diffused with Li. A similar disappearance is observed for the well known PL band at 1.36eV also attributed to the CuGa defect. Both DLTS and PL signals can be reactivated by thermal annealing. Passivation of the native deep donors EL2 and EL3 in n-type GaAs is concluded from the reduction of the relevant peak heights in DLTS spectra after Li-diffusion. The defects can be reactivated by thermal annealing.

34

, and

Collision cascades in crystalline silicon due to impinging 10eV - 1keV Si atoms are simulated using molecular dynamics methods. The simulations are carried out for 30-100 events to obtain representative statistics for production of different types of vacancies. The results are used to examine the dependence of vacancy production on the interatomic Si-Si potential between the colliding atoms. The dependence of the number of vacancies was found to be sensitive to the form of the potential well but not to the repulsive potential. The results suggest that within the heavily damaged volume of the collision cascade an interatomic potential with somewhat narrower well than that of the commonly used Stillinger-Weber potential should be used to simulate the vacancy production in silicon.

DESIGN AND FABRICATION

38

, and

TiSi2 can be used as a shunting layer to decrease the resistance of the poly-Si lines used as interconnections in integrated Si technology. In this work the correlation between the silicide thickness, the silicide surface roughness, the silicide grain size, the dopants in Si, and the crystallinity of Si was investigated on unpatterned wafers. The results will be used as reference in a further study of silicide formation on sub-micron poly-Si lines. After silicide formation at 700°C, the surface roughness has been found to be strongly dependent on the thickness of the silicide layer formed. The dopants in the Si did not only retard the formation of the C49 phase, but also hindered the transformation of TiSi2 from the C49 to the low resistive C54 phase. The surface roughness did not change when a second annealing step at 850°C was used to transform the silicide from the C49 phase to the C54 phase.

42

, , , , and

GTO thyristors with a 2 cm2 active area and small rectangular 40 * 40 μm emitters were fabricated using a specially developed self-aligned process based entirely on reactive ion etching, RIE, technology. Each device consists of 176 1 mm2 segments containing 100 individual emitters. The devices were electrically evaluated and compared to standard, wet etched mesa, GTO devices of comparable size having 3000 * 200 μm large emitters. Simulations were carried out to analyse specific features of the new devices. In particular the influence of the surface quality on the gate trigger current and on-state voltage was investigated. It was demonstrated that it is possible to reduce significantly the GTO unit cell dimensions without sacrificing the yield. 23% of the cm2 devices out of a lot containing 50 devices could be accepted without repair while the overall segment yield was 97%. The dynamic performance of the devices under both snubbered and snubberless conditions compares well to that of the reference devices.

46

, , and

An HBT-based IC prototyping technology is being developed at The Royal Institute of Technology's Semiconductor Laboratory, aiming for transistor cut-off frequencies in the region of 70 GHz. Both AlGaAs/GaAs- and InP/InGaAs-HBTs have been fabricated showing cut-off- and maximum oscillation frequencies well above 60 GHz. The overall circuit technology is based on two level metallization separated by silicon-nitride for interconnects and MIM capacitors, and NiCr-based resistors.

A 4: 1 time division multiplexer was targeted to explore the feasibility of the in-house technology for MSI circuit implementations, especially for broadband switching applications.

51

, , , , , and

At the University of Turku in the Laboratory of Electronics and Information Technology a microcomputer controlled compact multichamber processor for semiconductor wafer processing has been designed and built. Several different processes like various chemical vapor depositions (CVD), plasma etching and sputtering can be carried out. At the moment the research processor contains two reactors for 150 mm silicon wafers and a third one for sputtering is under construction. The dimensions of the processor including gas cabinets and UHV pumping equipment are about (L × H × W) 4 × 1.2 × 1m3. The objectives of this system are to minimize the need of clean room space without compromising the clean process conditions and to provide the means for laboratory scale integrated circuit fabrication. For now this system can be used for processing CVD oxidation, both epitaxial and polysilicon growth and plasma etching. In the CVD reactor we have grown e.g. silicon homoepitaxial layers of good quality at low pressure and temperature (0.5-200 Pa, 650-750°C) at the growth rate of about 5 nm/min.

54

An optimized design methodology for negative bias charge pumps is proposed. The circuit discussed gives an output voltage negative to the ground and can be used with n-substrate CMOS processes. Negative bias voltages are used in IGBT gate drivers. The analysis in this paper is done for a resistive load, but it can be applied also to capacitive loads in steady state cases with constant average current. The design rules are derived from theoretical calculations and verified with simulations.

ELECTRICAL DEVICES

56

, , , and

The Permeable Base Transistor (PBT) is generally considered as an interesting device for high speed applications. PBTs have been fabricated on Silicon and Gallium Arsenide by a number of groups. In this paper we reported on the fabrication of an etched groove PBT structure on 6H-SiC using Ti as contact metal for all electrodes. The devices have been characterised by DC-measurements. The transistors show the normal IV-characteristics for a such a device except for a parasitic series diode at the drain electrode. The breakdown voltage of the gate-drain diode is generally as high as around 60 V even without passivation of the sidewalls of the grooves.

60

, , and

This study focuses on the impact of the emitters on the forward voltage drop (which affects the heat generation) in Si and 6H-SiC PIN 5kV diodes. The influence of the Shockley-Read-Hall (SRH) lifetime on the forward voltage drop was investigated. It was found that the forward voltage drop of the 5kV Si diode depends much stronger on the SRH lifetime than the 5kV SiC diode. The influence of minority carrier transport in the highly doped emitters on the forward voltage drop in 5kV Si and SiC PIN diodes was quantified. Additionally, two-dimensional simulations of a mesa-etched 5kV PIN diode in 6H-SiC show a large impact of anisotropy on the forward voltage drop at high current densities. These simulations indicate that large advantages with respect to forward voltage drop could be achieved in mesaetched 5kV SiC PIN diodes by choosing the substrate in a direction parallel to the c-axis. This result is interesting since most substrates commercially available today have the polished surface perpendicular to the c-axis.

65

, , and

Low reverse leakage silicon carbide pin rectifier diodes with a breakdown voltage reaching 1100 V are experimentally shown to have acceptably low forward voltage drops, dominated by the built-in voltage. Numerical simulations of the experimental structure, using a measured carrier lifetime of 25 ns, validate the existence of a conductive plasma in the lowly doped base layer, despite a poor injection efficiency, related to the incomplete ionization of the aluminium acceptor. Simulation also indicates the need for a lifetime of ~ 100 ns in a 3.0 kV device.

68

and

Single element Ni and Cr metallizations to low doped n- and InP have been investigated to clarify how they affect electrical performance of advanced multilayer metallizations for ohmic contact and Schottky diode applications. It was found that the Ni and Cr contacts to n-InP show unstable ohmic behaviour at all annealing temperatures (300-500 °C), and that Ni and Cr metallizations to p-InP show diode behaviour. The electrical behaviour of the contacts were compared to phase formation paths of Ni-In-P and Cr-In-P ternary systems. From this it was found that amorphous ternary phases form first by interdiffusion of Cr and Ni into the InP crystal. Subsequently, at higher annealing temperatures, crystallization and eventually phase separation determines the electrical properties of the contacts. After annealing at 500 °C the Cr diodes to p-InP are almost ideal, as deduced from barrier height measurements using a combination of I-V and C-V methods. This indicates that the metallization forms a two-layer structure with Cr-P phases lying above pure In. The Ni diodes to p-InP annealed at 500 °C, on the other hand, are not ideal, indicating that Ni-In and Ni-(In)-P phases in parallel are determining the electrical properties of the diode.

71

, and

A closed hydrodynamic (HD) approach is used to carry out a comprehensive spatial analysis of the dynamic features of submicron n+nn+ InP diode both in time and frequency domains. The contribution of each part of the device, when operating as microwave power generator, is analyzed through the spatial profiles of the impedance-field spectrum. The usual subdivision of the n-region into a passive (dead-zone) and active zone is carried out. The dead zone is found to manifest ittelf as a purely real resistance which is practically independent of the frequency. One or more spatial zones which are responsible for the generation are shown to be formed in the active region of the diode. By reducing the length of the n-region, under the condition that the total current is constant in time, the additivity of the contributions from each part of the device into the generation spectrum is demonstrated.

77

, , and

Contacts to monocrystalline silicon have been prepared by wafer bonding using cobalt disilicide as an interfacial layer. Bonding has been carried out with three different structures: n+ -CoSi2-n+, p+ -CoSi2-p+ and p+ -CoSi2-n+. The intermediate cobalt disilicide layers had a thickness of either 700 Å or 5250 Å. The bonding interface was characterized by electrical measurement (IV) and Secondary-ion mass spectrometry (SIMS) of the formed contacts. The n+ -CoSi2-n+ and p+ -CoSi2-p+ bondings display an ohmic behaviour. The resistance of the bonded structures was in the range expected for the bulk silicon used (0.1-0.05 Ω cm). The p+ -CoSi2-n+ structures shows a non ohmic behaviour. An evaluation of the SIMS profiles reveals that the non-linear behaviour of the p+ -CoSi2-interface is due to phosphorous diffusion from the n-doped region across the silicide to the p-doped area. It is shown that the phosphorous compensates the boron dopant.

LOW DIMENSIONAL SYSTEMS

81

, , and

InAs/InP quantum well structures with an epitaxially controlled amount of island growth are investigated by excitation spectroscopy and magnetoluminescence. From the diamagnetic shift of the luminesence, an approximate value of the exciton radius is obtained. The very small lateral radius of below 6 nm is evidence of reduced dimensionality and lateral confinement effects.

84

and

We have studied initial strain relaxation and optical quality in lattice mismatched InxGa1-xAs/GaAs single quantum wells as a function of In content, x, using several structural and optical characterisation techniques. Influences of cap layer thickness on material qualities were also examined. Samples were grown with solid source molecular beam epitaxy. The initial relaxation was predominated by formation of misfit dislocations for x < 0.25 and three-dimensional (3D) islands for x ⩾ 0.3. Both phenomena were observed in the transition region. Presence of misfit dislocations gave rise to degraded optical qualities in terms of luminescence efficiency and linewidth. In the case of 3D islanding, the optical signal was strong but broadened or even split into several peaks. Critical layer thickness (CLT), defined as onset of strain relaxation decreased with In content. The x-dependent CLT was explained by energy consideration. Capping a GaAs layer on a partially relaxed alloy overlayer increased the residual strain and thus improved optical qualities.

88

Convergent renormalized perturbation series in powers of the electron-electron interaction are proposed for calculating the energy of a quantum dot. The method is illustrated by calculating the ground state of a quantum dot consisting of two electrons and the ground state of exciton in quantum dot model. Comparison between the present results and those of an exact numerical integration shows the great accuracy of the proposed method in the whole range of the electron-electron coupling constant values.

92

and

We investigate the spin splitting of the Landau bands (LB's) in a confined two-dimensional electron gas (2DEG) using the Hartree-Fock approximation (HFA) for the mutual Coulomb interaction of the electrons. The exchange term of the interaction causes a large splitting of the spin levels of a LB whenever the chemical potential lies between them. These oscillations of the splitting with the filling factor of the LB's are conveniently interpreted as an oscillating enhancement of the effective g-factor, g*. The reduction of g* when a LB is becoming completely filled is accompanied by a spontaneous formation of a static spin-density wave state whose details depend on the system size and temperature.

96

In a mid-plateau situation there exists a reversible uphill flow (in the direction of the Hall field Ey) of the electron liquid with the current density Jliq.. Inelastic processes cause excitations to be scattered downhill with the current density Jexc., which satisfies the condition Jexc. + Jliq. = 0. The conversion at the edge of the reversible uphill flow to the dissipative downhill flow is mediated by the edge states. It is shown that transverse circulation of electrons implies the temperature independence of the ratio Δρxyxxmin, where ρxxmin is the minimal value of the longitudinal resistivity at the given temperature T, and Δρxy = ρxy(T) − ρxy(0).

100

, , , , , and

Optically detected magnetic resonance measurements of the effective g-value (g*) of electrons in type-I GaxIn1-xAs/InP quantum wells are presented. The observation of spin resonance on the circularily polarized luminescence is explained in terms of recombination in a one-side p-modulation doped quantum well and spin thermalization. Quantum confinement changes the electron effective g-value. It further induces a strongly anisotropic g-tensor. A calculation for the g*||-component agrees well with the experimental data, but the anisotropy can be explained only qualitatively.

MESCOPIC SYSTEMS

104

Electro-static potentials for samples with the topology of a ring and penetrated by an Aharonov-Bohm flux are discussed. The sensitivity of the electron-density distribution to small variations in the flux generates an effective electro-static potential which is itself a periodic function of flux. We investigate a simple model in which the flux sensitive potential leads to a persistent current which is enhanced compared to that of a loop of non-interacting electrons. For sample geometries with contacts the sensitivity of the electro-static potential to flux leads to a flux-induced capacitance. This capacitance gives the variation in charge due to an increment in flux. The flux-induced capacitance is contrasted with the electro-chemical capacitance which gives the variation in charge due to an increment in an electro-chemical potential. The discussion is formulated in terms of characteristic functions which give the variation of the electro-static potential in the interior of the conductor due to an increment in the external control parameters (flux, electro-chemical potentials).

111

, and

Electronic Raman scattering from a doped circular quantum dot is studied within the random phase approximation. The Raman spectrum of the spin density fluctuation channel consists of only sharp peaks due to single-particle excitations. However, for the charge density fluctuation channel, the broadened plasmon peak in a small quantum dot may overlap with the sharp single-particle peaks, resulting in fine structures in the Raman spectrum.

115

and

A self-consistent calculation of the local electromagnetic field inside a spherical quantum dot is presented. The confinement potential is taken to be parabolic and isotropic, and the interaction between the field and the quantum dot electrons is described by a nonlocal paramagnetic response function. A particular feature of the treatment is that the calculation is carried out without making use of the electric dipole approximation. As a consequence the magnitude of the electromagnetic field has a finite value at all positions in space. A resonance condition is set up for the local field, and an expression for the resonance frequency is obtained. It is found that the resonance frequency is blue-shifted with respect to the electronic transition frequency. The theory is applied to a GaAs quantum dot embedded in a Ga1-xAlxAs medium. For this system the local field factor is calculated, and the frequency shift is evaluated.

119

, and

The single-electron theory of resonant-tunneling through a double-barrier structure with perfect interfaces has been reviewed, and the future direction of theoretical development including the electron-electron interaction and rough interfaces is outlined. The theoretical understanding of this system reveals its potential application to quantum electronic devices.

123

, , and

We calculate the magnetic moment ("persistent current") in a strongly correlated electron system - a Wigner crystal - in a one-dimensional ballistic ring (quantum ring). The flux- and temperature dependence of the persistent current is shown to be essentially the same as for a system of non-interacting electrons. In contrast, by incorporating into the ring geometry a tunnel barrier, that pins the Wigner crystal, the current is suppressed and its temperature dependence is drastically changed. The competition between two temperature effects - a reduced barrier height for macroscopic tunneling and a loss of quantum coherence - results in a sharp peak in the temperature dependence, which for a rigid Wigner crystal appears at T ~ 0.5hs/L, (s is the sound velocity of the Wigner crystal, L is the length of the ring).

MODELLING OF DEVICES

128

, and

A unified physics based capacitance model for MOSFETs suitable for implementation in circuit simulators is presented. This model is based on the charge conserving, so-called Meyer-like approach proposed by Turchetti et al., and utilizes a unified charge control model to assure a continuous description of the MOSFET capacitances both above and below threshold. The capacitances associated with the model are comparable to those of the well-known BSIM model in the above-threshold regime, but it is more precise in the description of near-threshold and subthreshold behaviour. Moreover, the discontinuities at the transitions between the various regimes of operation are removed. The present modelling scheme was implemented in our circuit simulator AIM-Spice, and simulations of the dynamic behaviour of various demanding benchmark circuits clearly reveal its superiority over simulations using the simple Meyer model.

131

, and

The performance of GaAs/AlGaAs multiple quantum well infrared detectors is studied theoretically and experimentally, with special emphasis on 8-12 μm thermal imaging applications. The dependence of detector performance on various factors like light coupling configurations (one and two dimensional reflection gratings or 45° polished edge), detector temperature, response wavelength and quantum well doping density is dealt with. An absorption quantum efficiency of 87% is demonstrated using a crossed grating and a waveguide (CGW). It is also found that an optimised 34 μm × 34 μm detector (a detector size suitable for large staring arrays, i.e. 256 × 256 or larger) with 9.0 μm cut-off wavelength, f# = 2 optics and 70% optical transmission reaches background limited operation at 74 K detector temperature.

The potential of making highly uniform staring arrays utilising the mature GaAs material and processing technology is demonstrated by uniformity measurements of detector dark current. The experiments show that a metalorganic vapour phase epitaxy (MOVPE) grown structure can have a dark current standard deviation to mean value ratio over a 10mm long linear detector array of less than 2%.

The staring array performance in terms of noise equivalent temperature difference (temporal NETD) is calculated to NETD < 20 mK at 77 K detector temperature and NETD < 10 mK at 70 K detector temperature.

136

, , , and

A compact physical model for high speed bipolar junction transistors (BJT) in integrated rf-circuits is presented. The model, which suits both homo-and heterojunction devices, is based on the de Graaf-Kloosterman formalism for the modelling of BJTs, but adds important heterostructure device physics and incorporates also the physical properties of the SiGe material. The model implemented in APLAC circuit simulator, shows good agreement between the simulation results and measured data both for pure silicon BJTs and for SiGe-base heterojunction transistors.

139

, and

The importance of a proper inclusion of parasitic source and drain resistances in various FET device models used in circuit simulation with SPICE is pointed out. Although a significant reduction in simulation time can be achieved using so-called extrinsic FET models, some problems are encountered in cases where gate leakage current is present and in simulating transients. Moreover, an intrinsic model with parasitics is more compatible with high frequency small signal equivalent circuits.

141

, , , and

The PBT is a short channel device and hot electron effects are expected to be important and the transport model used in the simulation is critical. The present work compares the effect of different transport models on the operation of a submicron PBT. The transport model used are the ordinary drift-diffusion model and the hydrodynamicc models as they are implemented in MEDICI Ver. 1.1. In submicron devices the transport parameters become both device and bias dependent. The transport parameters are directly related to the distribution function and should be extracted from the solution of Boltzmann's Transport Equation (BTE). The most popular and effective way of solving the BTE is Monte Carlo simulation. In this work we have extracted transport parameters from Monte Carlo simulation using one dimensional boundary for particles and a fixed electric field extracted from two-dimensional simulation in MEDICI. The Monte Carlo simulation, parameter extraction and MEDICI simulation have been repeated until the change in electric field between iterations could be neglected. Both I-V and fT results have been analysed. It is clear that the hydrodynamic model gives higher current levels and higher fT than the drift-diffusion model.

146

, and

The Monte Carlo method is applied to calculate the current and voltage noise in near micron n+nn+ InP diodes. Quite different behavior of the correlation functions and spectral densities of fluctuating macroscopic quantities is observed under the voltage and current driven operations. Under the constant voltage operation the time dependence of the current fluctuation correlation function exhibits damped oscillations at the transit-time and plasma frequencies. This results in appearance of two spikes in the current noise spectrum at corresponding frequencies. The transit-time oscillations and the corresponding noise are shown to be caused by the spontaneous formation of electron accumulation layers due to the negative differential resistance connected with the combined action of the velocity overshoot and Gunn-effects. In the contrast, the voltage noise spectrum is found to have a regular Lorentzian shape under the constant current operation. The observed features of the current and voltage noise spectra are shown to be in a good agreement with the frequency dependence of the small-signal admittance and impedance of the diode.

151

, and

Power device structures, which could be implemented by using diamond technology of today, have been analyzed with the aid of a two-dimensional numerical simulator code for semiconductor devices. It has been found that partial ionization of deep acceptor states substantially degrades the electrical performance of 1000 V lateral diamond power MESFETs. No advantage over corresponding silicon devices can be obtained. If the partial ionization phenomenon can be eliminated, the on-resistance of the diamond MESFET is almost two orders of magnitude smaller than in vertical silicon power MOSFETs having the same breakdown voltage.

154

An analytical model is developed which accounts the quantum mechanical corrections to the threshold voltage VT MOSFETs having their channel lengths in deep submicron region. The model is based on a variational solution of the Schrödinger equation for electrons in an inversion layer of a MOSFET, and it takes into account effects of the quantized electrons, the electron charge distribution and bandgap narrowing on VT. Without any fitting parameters the model explains well the measured quantum shifts of VT.

157

and

A physical model for vertical DMOS power transistors is presented. The model takes into account various short channel effects in the DMOS channel region and the velocity saturation and the exact device geometry in the drift region. The model, aimed at computer aided design of power integrated circuits, has been implemented in the APLAC circuit simulator. A good agreement between the measured and simulated results for vertical DMOSTs is demonstrated.

159

, and

The I-V characteristics of the Permeable Base Transistor (PBT) has been investigated in order to find a simple and practical model for use in circuit designs. Two possible approaches has been discussed, a one-dimensional analytical solution and a semi-analytical solution mixing analytical and empirical methods. The semi-analytical model developed in this paper offers high accuracy and a simple and fast evaluation. All model parameters can be extracted from a set of I-V curves from two typical transistors with different threshold voltages. An analytical small signal model has been developed that agrees very well with two-dimensional simulations.

OPTICAL DEVICES

165

, and

The absorption efficiency of thermal infrared detectors of various designs is theoretically evaluated and compared, with an emphasis on detectors possible to fabricate by surface micromachining. In particular, the requirement of low thermal mass is considered. An absorber consisting of a single resistive metal film is shown to give a maximum of 50% absorptance. By backing such a film with a perfect reflector located at a λ/4 distance from it, nearly 100% absorptance can be attained in the broad wavelength range of 8-12μm. The simulations show that by adding a dielectric layer (membrane) onto the metal film, the absorptance remains nearly constant, provided that the proper values of nd, d1, Rs and d3 are chosen. For d1 = 0.2μm, the maximum variation in d3 and Rs, compatible with a decrease in mean absorptance from 100 to 95%, is 30 and 40%, respectively. If the dielectric film possesses absorptive behaviour the absorptance of the detector may still become large for previously selected structure parameters.

169

, , and

Schottky diodes on Silicon Carbide (SiC) are of interest for many applications because of the relatively simple fabrication process compared to pn diodes. In this work we have fabricated Schottky diodes by evaporation of Ti on n-type an p-type 6H-SiC. Most of the diodes show good rectifying behaviour with very low reverse current and an ideality factor below 1.20. The photo response of the diodes has been measured in the range 200-400 nm. The peak sensitivity varies in the range 250-300 nm depending mainly on substrate doping.

172

, and

We report the fabrication and characterization of large area (1 × 1 cm2) Ga0.84In0.16As0.68P0.32 (Eg = 1.50eV) and Ga0.51In0.49P (Eg = 1.88 eV) solar cells. The cell structures were grown by gas-source MBE on 2"(100) GaAs substrates. For the GaInAsP material, both n-on-p and p-on-n structures having wide-gap Ga0.51In0.49P window were studied. The GaInAsP n-on-p cells showed significantly better active area conversion efficiencies (17.8% at AM0, 1-sun illumination) than p-on-n structures (13.0%, same conditions) mostly due to lower sheet resistance of the n-type GaInAsP emitter layers. For GaInP cells the best conversion efficiency of 11.0% was achieved for windowless shallow homojunction n-on-p structure. Since only single layer of SiNx was utilized as an antireflection coating for all the cells, we believe that the application of an optimized two-layer antireflection coatings could increase the efficiencies up to 19% and 14% for GaInAsP and GaInP solar cells, correspondingly. The excellent uniformity in all the cell parameters across the 2" wafers indicates that larger area solar cells (up to 10 cm2) can be fabricated.

175

In this paper, the parameters for the solar cell two diode model are solved with respect to the cell series resistance, thus providing an efficient mean to extract the possible combinations for the model parameters. In the calculations, the diode ideality factors n1 and n2 have been left fixed. The usual way is to set n1 = 1 and n2 = 2 to represent the diffusion and recombination current terms, respectively. The procedure is tested with respect to some published cell parameter values with good results.

177

, , , and

We have adopted a new approach in an attempt to improve the present-day technology in preparing blue/green ZnSe light emitters. This approach includes growth of layer structure with n-on-p configuration and the use of barrier reduction layers in between the GaAs substrate and the lower cladding layer of the device structure. In addition, we have studied MnZnSSe quaternary alloys to replace the MgZnSSe layers which are normally used as cladding layers of the blue/green light emitters and which are known to exhibit poor electrical properties.

PHOTONICS

181

, , , and

By degenerate four-wave mixing experiments in a two-beam geometry, we have investigated the initial coherence and dephasing of quasi two-dimensional excitions and biexcitons in GaAs multiple quantum wells. The dephasing has contributions from phonon scattering, interface-roughness scattering and exciton-exciton scattering. Inhomogeneous broadening and generation of coherent wavepackets play a significant role in the coherent exciton dynamics. The incoherent exciton dynamics, diffusion and recombination, is studied by three-beam transient grating experiments. A significant difference in the interface roughness scattering of coherent and incoherent (thermalized) excitons is observed.

187

, and

The potential of spectroscopic ellipsometry for characterization of III-V semiconductor quantum heterostructures is demonstrated by two ellipsometric techniques, chosen to match polarization selection rules and spectral region of interest. Brewster-angle geometry and transmission arrangement has been used for non-destructive studies of electronic intersubband transitions in the MIR. The inversion problem is solved to determine the extraordinary dielectric response in the wells. Photo-modulated spectroscopic ellipsometry utilizing a tunable laser source has been used for studies of near band-gap transitions in the NIR/VIS. This provides information that is not supplied by conventional techniques like PLE.

191

and

Recently, we have discovered that the excitation of thin CdS films with the 514.5 nm line causes an all-optical bistable luminescent emission in the near infrared part of the spectrum. A first insight in mechanism and origin of all-optical bistability in luminescence of semiconductors is presented. We show that the initial and final states of the bistable luminescence are determined by the thermal properties of the luminescence intensity of the thin CdS film. However, during the bistable switch of the luminescence thermal effects and electronic ones take place. Thus, all-optical bistability in luminescence is a thermally induced electronic bistability.

PROCESS TECHNOLOGY

194

, and

Selective-area MOVPE of InP-based semiconductor material is discussed. As an example of its applicability, the use of the technique to make distributed feedback gratings with sub-micron period and modulated coupling coefficient k is described. The grating pattern and the lateral variation in growth rate of the InGaAs(P) material are defined and controlled by a SiO2 mask layer.

198

, , , and

Rapid thermal processing of Co/Ti/Si(100) structures has been investigated over a wide temperature range, from 300°C to 1100°C. Titanium and cobalt layers, 10nm and 20nm thick respectively, were consecutively deposited on Si(100) substrates using an e-beam evaporator. X-ray diffraction, Secondary Ion Mass Spectrometry and Rutherford Backscattering Spectrometry were employed to analyse interdiffusion and phase formations. The present results revealed the formation of CoSi2 when annealing at 750°C. The CoSi2 was formed epitaxially and yielded a minimum channelling yield of approximately 8.5% for layers annealed at 1100°C. Evidence for the presence of a CoSi layer, when annealing between 650°C and 800°C, is presented.

202

In the development of new electronic materials and devices, laser ablation deposition offers a quick and relatively easy technique to realise complicated material compositions and multilayer structures. This paper presents laser ablation deposition of different types of thin film materials, each having potential use in electronic applications. The materials are: YBa2Cu3O7-δ superconducting films and YBa2Cu3O7-δ/PrBa2O7-δ superlattices; piezoelectric lead zirconium titanate (PZT) and PZT/YBa2Cu3O7-δ heterostructures; Cu(In,Ga)Se2 semiconductor photovoltaic materials and amorphous diamond-like carbon films. The deposition of these materials was carried out mostly using a pulsed XeCl excimer laser, either in an in situ process on a heated substrate or by post deposition annealing.

205

, , , and

A plasma activated gas source molecular beam epitaxy process has been developed in which the molecular beam is formed by activating a methane-hydrogen mixture in a plasma source. Amorphous carbon growth on (100) silicon substrates occurs when the substrate temperature exceeds 800°C. The growth of cubic silicon carbide is observed above 880°C. Epitaxial silicon carbide layers are characterised using x-ray photoemission spectroscopy, atomic force microscopy, ellipsometry and Rutherford backscattering.

208

, , , , , , , and

Compositionally graded, relaxed, n-type, Si1-xGex alloy layers have been grown on (100) Si substrates; the main emphasis has been put on compositions with x = 0.25. It is found that for substrate growth-temperatures higher than similar 750°C and a grading rate of 10% Ge/μm relaxed Si0.75Ge0.25 epitaxial layers of high structural, optical, and electrical quality can be grown. The layers are characterized by channeling parameters close to expected bulk values, a threading dislocation density of similar 5 × 105 cm−2, and strong near-band gap luminescence. Electrical measurements have revealed Hall mobilities similar to published bulk values and concentrations of electrically active deep levels ⩽2 × 1011 cm−3. The surface morphology is, however, strongly influenced by the grading procedure which produces a high degree of cross-hatching.

212

, , , and

Si1-xGex layers were formed through high-dose germanium ion implantation into (100)Si substrates. Two alternative implantation techniques along with that of the single-energy Ge+ implantation were separately adopted: the double-energy Si+ and Ge+ method, and the double-energy Ge+ and Ge++ method. The purpose of the both double-energy methods was to form deeper amorphous layers by using relatively low-dose Si+ or Ge++ ion bombardment while the SiGe alloy layers were created by high dose Ge+ ion implantations. Furthermore, all the amorphized samples were epitaxialy regrown by conventional furnace annealing at temperature of 525 to 600°C. RBS channeling spectroscopy was used for optimizing these implantation processes. Measurements confirm that the double-energy Ge+ and Ge++ method is optimum because of generating fewer residual defects. Additionally, the preliminary result on the regrowth properties of the double-energy Ge+ and Ge++ implanted SiGe layer is also presented.

216

, , , , and

In situ condensation of an amorphous cap of the high vapour pressure element (i.e., As, Sb) has been found to provide effective protection of molecular beam epitaxy grown compound semiconductor surfaces against ambient contamination. Most work reported so far relates to arsenic-capped AlGaAs. Detailed investigation with surface sensitive structural (RHEED, LEED) and chemical (XPS) probes confirms that the protective cap is conveniently removed by annealing in ultrahigh vaccum environments at a temperature in excess of similar 350 °C. Clean AlxGa1-xAs(001) surfaces with different atomic reconstructions and corresponding (Al)Ga: As composition ratios are now routinely prepared by this technique, and thus offers an ideal testing ground for compound semiconductor surface and interface research. Reconstruction-dependent reactivity at metal/GaAs(001) interfaces is demonstrated, using surface sensitive synchrotron radiation photoelectron spectroscopy. Exploiting the protection offered by the As (Sb) cap for device fabrication purposes (e.g., in selective area epitaxy), demands a suitable method of pattern definition in the amorphous arsenic layer. The cap is shown to be chemically stable versus exposure to standard photolithographic processing chemicals, including photoresist, developer, and acetone (the photoresist solvent). However, the temperature required for thermal decapping is grossly inappropriate for photoresist curing. A novel technique of reactive decapping in a beam of hydrogen radicals (H*) is shown to be effective at room temperature. This innovation makes pattern definition in the As cap compatible with standard photolithography, and test structures with similar 5 μm linewidth is demonstrated. Scanning electron micrographs unveil the presence of arsenic cap residues along the photoresist mask edges. Moreover, trace amounts of surface gallium oxide and carbon impurities were found with core-level photoelectron spectroscopy. The technique thus needs further refinement, before being useful in fabrication of compound semiconductor device structures.

226

, , and

The Permeable Base Transistor (PBT) is considered to be a high frequency device with simulated fT and fmax values in the order of 100 GHz. In this work we present several PBT devices in silicon. The fabrication process steps have been developed and optimised in order to meet the demands of a future integration in a standard CMOS processing. Cobalt disilicide is used for the emitter metallization and base metallisation in order to form a good Schottky contact. The important issues of a fabrication process reliability and controllability are discussed in this paper. The process steps had been analysed by standard analysis methods. Electrical (DC) characterisation of the devices has been performed. The obtained results are in a good agreement with the 2D simulations.

230

and

Compared to wire bonding and TAB, flip chip technology using solder joints offers the highest pin count and packaging density and superior electrical performance. The chips are mounted upside down on the substrate, which can be made of silicon, ceramic, glass or - in some cases - even PCB. The extra processing steps required for chips are the deposition of a suitable thin film metal layer(s) on the standard Al pad and the formation of bumps. Also, the development of new fine line substrate technologies is required to utilize the full potential of the technology. In our bumping process, bump deposition is done by electroplating, which was chosen for its simplicity and economy.

Sputter deposited molybdenum and copper are used as thin film layers between the aluminum pads and the solder bumps. A reason for this choice is that the metals can be selectively etched after bumping using the bumps as a mask, thus circumventing the need for a separate mask for etching the thin film metals. The bumps are electroplated from a binary Pb-Sn bath using a thick liquid photoresist.

An extensively modified commercial flip chip bonder is used for alignment and bonding. Heat assisted tack bonding is used to attach the chips to the substrate, and final reflow joining is done without flux in a vacuum furnace.

234

and

Chemical vapour deposition (CVD) of expitaxial silicon was carried out in a single wafer, rapid thermal processing (RTP) reactor chamber. The silicon wafer was heated volumetrically by microwaves. The silicon growth was realised by thermal pyrolysis of SiH4 at ~700°C on blank and patterned silicon wafers. The reactor chamber was equipped with a molecular-turbo pump backed by a booster/rotary pump package; the base pressure of the reactor chamber was better than 10−5 Pa. Silicon deposition was carried out in the pressure range of 1-20 Pa. Prior to deposition, the system was purged with H2 at 50 Pa, either at 700°C for 15 min or at 900°C for 15 sec. A typical epitaxial silicon growth rate was 5 nm/min at 700°C. The quality of the epitaxial silicon layers, studied by means of Rutherford backscattering spectrometry (RBS), x-ray diffraction (XRD), and cross-sectional scanning electron microscopy (XSEM), was found to be sensitive to a number of deposition parameters, including substrate temperature, gas flow rate and surface cleaning.

238

and

The room temperature growth of Au on Si(111) 7 × 7 has been followed continuously with second-harmonic generation, and at selected coverages with low energy electron diffraction and Auger electron spectroscopy, during the evaporation of the first 15 monolayers. A fast decay of the second-harmonic signal in the submonolayer regime is associated with disordering of the 7 × 7 structure. This is followed by a local maximum at about 1 monolayer coverage. The origin of this maximum is not yet fully understood. In the range from 2 to 5 monolayers, the signal variations reflect the formation of Au islands with areas of free Si in between. Above 5 monolayers second-harmonic generation shows increasing order in the system which is ascribed to the creation of an ordered Au/Si interface, starting when a closed Au layer is formed. Annealing of the room temperature deposited Au layer leads to interface ordering even at low temperatures where no superstructure is observable with low energy electron diffraction.

241

, , , , , , and

Two different fabrication techniques to obtain nanometer scale structures without the use of lithography are demonstrated. Quantum dots are made on GaAs by growing strained InP islands by metal-organic vapour phase epitaxy. Quantum confinement of carriers is achieved by the growth of quantum wells on the InP islands. Molecular beam epitaxy is used for the fabrication of a gold island mask on GaAs. Reactive ion etching through the gold mask produces a high density of GaAs columns with diameters down to 20 nm.

244

, , , , , , and

CuInSe2 (CIS) and CuIn0.75Ga0.25Se2 (CIGS) thin films were deposited by an in situ process on fused silica and silicon (100) and (111) substrates by pulsed laser ablation using an XeCl excimer laser and polycrystalline targets. The effect of deposition temperature and substrate material on the structure and orientation of the films was investigated. The structure of the films was studied by x-ray diffraction, and their chemical composition was investigated by energy dispersive x-ray analysis on a scanning electron microscope. The composition of the target material was largely maintained in the films deposited below 450 °C. On fused silica and Si (111) substrates, the films were highly oriented with the (112) planes of the chalcopyrite structure along the substrate surface. The films deposited on to Si (100) developed, under some conditions, {100} orientation and epitaxy.

248

, , and

An unusual dual conductance response was found in the case of exposure of n-type semiconductors CdS and α-SnWO4 in the form of thin films to carbon monoxide. Usually, CO behaves as a reducing gas increasing the conductance of n-type semiconductors. However, at temperatures below a border temperature T*, CO did behave as an oxidizing gas decreasing the conductance of CdS and αSnWO4 thin films. T* for this dual conductance response to CO was found to be below 50 °C for CdS films. The enrichment of the surface with cadmium species had a decreasing effect on T* which was also decreasing with decreasing partial pressure of CO. For α-SnWO4 films, T* was near 250 °C depending on the partial pressure of CO. It was found that the dual response behaviour depends on temperature, CO concentration in air and tin content in the film.

RECENT ADVANCES IN THEORY

252

, and

An ab initio cluster approach (LDA-SCF) is used to study the electronic structure of the SnO2 (110)-1 × 1 surface without any relaxation and reconstruction. Modelling is made for the ideal nonpolar surface and also for surfaces containing different kinds of oxygen vacancies, foreign impurities and adsorbates. Computations are based on the linear combination of atomic orbitals (LCAO), which allows the population analysis through projection of the molecular orbitals onto the atomic basis set. This is used to trace the atomic character of the cluster levels. A cluster with 103 atoms Sn32O71 is used to model the ideal SnO2 (110) surface, the most stable and thus the dominant crystallite face of the polycrystalline SnO2, and the next few bulk layers. The results here refer to both the ideal nonpolar (110) surface and some oxygen-deficient polar (110) surfaces. Chromium and NO are taken as examples of impurity atoms and adsorbate molecules, respectively. Based on the results we are able to suggest an explanation for the observed strong decrease of conductivity of SnO2 polycrystalline films and the enhanced adsorption and dissociation of NO on the SnO2 surface due to adding of chromium impurities.

256

, , , and

A first principle based quasi-deterministic 3D particle dynamics Monte Carlo simulation method was developed for examining mesoscopic (subhalf micron) Si electron devices. Applying a novel method for calculating the field and potential distributions, the real trajectories of the carriers are exactly followed. Consequently, an important feature of this method is that all Coulomb scatterings are inherently taken into account. A description of the physical background, the models and the simulation principle is given. The boundary conditions and a deterministic model for Auger recombination is also presented. Finally a simulation example is described: the evaluation of a dense hole-electron plasma induced by an α particle, passing through a reverse biased pn-junction. In connection with this example we also detail the model applied for the carrier generation induced by α particles penetrating the device.

263

, , and

A novel semiconductor charge carrier mobility model obtained by Kohler's variational method for Boltzmann transport equation solution is presented. Acoustic phonon scattering, ionized impurity scattering, and carrier-carrier scattering are taken into account. Majority electron and hole mobilities in n- and p-type silicon versus impurity and carrier concentration, and temperature are calculated and compared with published experimental data.

268

, and

Methods of decreasing the noise and increasing the computational efficiency in Monte Carlo simulations of semiconductor devices are investigated. A lookup table approach to the charge assignment to mesh points has been implemented which is independent of the complexity of the assignment function. Using this approach reduces the CPU time of the charge assignment to about one third. Potential fluctuations in low field regions are compared for different assignment function, which shows the advantage of using more complex schemes than those generally used. Results from a full band Monte Carlo simulation of a submicron Schottky diode are presented and the values of the current density for different assignment schemes are compared, showing a lower noise for the higher order schemes. Statistical enhancements by splitting of superparticles in the depletion region has been investigated. The combination of a high order assignment scheme and statistical enhancement by splitting decreases simulation runtimes considerable for a given noise tolerance.

SOLID STATE MATERIALS

273

and

Schottky contacts using CoSi2 to both n- and p-type 6H-SiC were fabricated. The contacts revealed good rectifying characteristics after annealing at 700°C. Low leakage currents and exponentially increasing currents over at least 5 decades were obtained in the forward bias mode. CV- and IV- measurements were used to establish the Schottky barrier heights for CoSi2 to 6H-SiC, 1.05 ± 0.05 eV and 1.90 ± 0.05 eV for n- and p-type respectively. Further annealing at 900°C changed the Schottky barrier heights on both n- and p-type significantly.

278

, and

Thin Pt- and Pt-silicide films on Si are currently used in microelectronics as Schottky diodes with high values of the electrical barrier (similar 0.8eV). Such films also have metallic or near metallic sheet conductivities and are suited for interconnects. The choice of Pt is indicated by the reaction between Pt and Si which seems easy to control, at low temperatures, enabling so-called self-alignment of the silicide pattern. This is somewhat unexpected, however, when considering the bulk thermodynamic phase diagram of these elements. From this, processing temperatures of over 830°C should be needed for reaching the eutectic temperature and an even higher temperature (1210°C) needed to reach the most stable silicide composition, PtSi. Many times, studies of the Pt/Si system under UHV conditions of processing and purity have been interpreted as forming silicide at the Si surface, even at room temperature, However, it was noted that no bulk silicide is grown from thin Pt deposits by heating in UHV. Normally, an inhomogeneous composition profile is found with the top surface being enriched in silicon. In the present studies Pt was deposited slowly on the Si(111) 7 × 7 surface. This was done to follow its reaction and in-depth distribution profile during deposition, and the formation of the Schottky barrier in this step. Subsequent annealing was then studied. Further experiments studied the sensitivity of annealing to impurities (C and O), and to oxidation. It was found that during deposition of Pt some Si atoms are retained in the top surface, bonding with or imbedding themselves in the Pt with a silicide-like appearance of the valence band, Si(2p) core levels, and the Si (L2,3 VV) Auger spectrum (XAES). However the distribution of Pt and Si below the surface during deposition is indicative of an almost homogeneous Pt film, with some signs of a combined island and layer growth, past the first monolayer of Pt. The dislodging of Si atoms occurs during completion of the first monolayer, and the reaction starts only after this is completed. Impurities in a Pt film, present before annealing, were found to create a homogeneous, stable metallic phase. Oxidation of various Pt/Si structures differs from that of clean Si surfaces at intermediate temperatures, where evidence for the formation of an oxide with (weak) features of SiO2 is obtained.

283

, , , , , , , , , et al

SiC has in comparison with Si superior basic properties for applications in high-power, high-frequency and high-temperature electronics. The potential applications of SiC were known decades ago, but the poor quality of the material produced at that time has delayed the device development. However, during the last years the crystal growth process of SiC has been improved considerably. We will present some important properties of SiC, describe the two most common growth processes and discuss fundamental materials problems that remain to be solved. A further aspect, which we will discuss, is the polytypism of SiC, which may allow us to obtain generic knowledge of, for instance, defects in semiconductors.

291

and

Thermal oxides have been grown on monocrystalline 6H silicon carbide samples (n-type and p-type) with both carbon face and silicon face. The oxidation was performed in a dry oxygen ambient at 1523 K with or without the addition of TCA (Trichloroethane), or in wet pyrogenic steam at 1473 K. Polysilicon gates doped with POCl3 were used for electrical characterisation by capacitance-voltage measurements at room temperature. Large flatband voltage shifts indicate fixed oxide charges up to 1013 cm−2.

294

, , , , and

Strain relaxation in Si1-xGex epitaxial layers is investigated after the reaction of Co and Pt with strained SiGe alloy. The epitiaxial SiGe alloy studied has a Ge fraction ranging between x = 5% to 22%. The strain in the Si1-xGex is evaluated using multi-crystal high resolution x-ray diffraction mapping in reciprocal space (MC-HRXRD). The results show that for Co in order to keep the strain in Si1-xGex unaffected, a sacrificial Si layer is needed. The direct reaction of 40 nm Co on Si0.9Ge0.1 can lead to defect formation and 40% strain relaxation. This is in contrast to Pt/Si1-xGex reaction, where negligible relaxation was observed.

297

, , and

Copper germanide (Cu3Ge) has received interest in recent years as a potential metallization for VLSI applications due to its exceptionally low room temperature resistivity. We have investigated the thermal stability of Cu3Ge thin films on both silicon and thermally oxidized silicon wafers. Films were deposited by electron beam evaporation of sequential layers of Ge and Cu and exposed to an annealing schedule ranging from 100°C to 450°C. Secondary ion mass spectrometry (SIMS) analysis has revealed an interaction of the film with the silicon substrate. At temperatures as low as 200°C, diffusion of silicon into the copper germanide film was observed with the concentration and depth of penetration scaling with increased annealing temperature. Results on controlling this interaction will also be presented and correlation is made with resistivity measurements.

300

and

Thin polycrystalline films of FeSi and β-FeSi2 were formed by solid state reaction with either boron or phosphorus doped silicon. The corresponding electrical heterojunction properties were studied by temperature activated current-voltage and capacitance-voltage analysis. The FeSi/Si Schottky characteristics showed that the current transport mechanism was dominated by thermionic emission across the interfaces for both n- and p-type silicon substrates. Indications of recombination through deep levels was only detected for n-type silicon measured by current-voltage at low temperatures. The Schottky barrier heights of FeSi were estimated to 0.68 ± 0.03 eV and 0.40 ± 0.03 eV at 0K for respectively n- and p-type silicon. The Schottky barrier was observed to be pinned to the silicon valence band. The formation of β-FeSi2 produced current transport characteristics with ideality factors of about 1.02-1.05 on both n- and p-type silicon indicating no recombination through deep levels inside the silicon depletion layer. The CV results on p-type silicon strongly showed the presence of shallow defects or neutral complex formation.